반응형

403870 5

HPSP, 실적 개선 기대보다 더뎌...벨류에이션 부담

HPSP(403870)에 대해밸류에이션이 부담스러운 수준에 있다. HPSP 지난해 4분기 매출액은 전년 동기 대비 31% 줄어든 305억원, 영업이익은 36% 감소한 121억원으로 시장 기대치와 대체로 부합하는 수준이었다. 연말 성과급을 제외하면 실제 수정영업이익률은 40%대 후반을 기록한 것으로 추정된다. 전방산업 수요 부진 영향에 올해 1분기 매출은 전분기 대비 25% 늘어난 381억원으로, 당초 40% 가까이 증가한다고 보았던 것이나 현재 컨센서스(시장 전망치 평균) 404억원에 미달할 전망이며 전방산업 수요 부진 영향과 리드타임을 고려할 때 올 2분기 매출도 추가 감소할 전망이고, 하반기에 다시 증가하는 상저하고 모습이 보일 것으로 예상했다. 또 동탄 신사옥 이전에 따른 비용 증가와 R&D(연구·..

HPSP, 4분기 저점...본격적인 이익개선 전망

HPSP(403870)에 대해 4분기를 저점으로 본격적인 이익개선이 전망된다. 신공장 설립이 마무리되는 6월 이후 생산 캐파는 두 배로 증가하고 2025년부터 이 같은 생산능력이 온기로 반영될 것이며 2019~2022년 선단 파운드리 침투를 통해 높은 성장률을 기록한 것처럼 2024년~2026년에는 캐파 확장과 더불어 D램(RAM)으로 응용처가 확대돼 다시 한 번 급격한 성장을 보일 것이다. HPSP의 4분기 예상 매출액과 영업익은 각각 전분기 대비 30%. 40%가 줄어든 294억원, 129억원이다. 이는 시장전망치를 상회하는 수치다. 4분기를 저점으로 올해 1분기부터는 주요 고객사 투자가 회복되면서 매출과 이익이 다시 증가할 것이다. 이는 업황 회복과 신규 장비 공급을 통한 성장이 동시에 발생할 것으..

HPSP, 내년 하반기 신공장 가동으로 실적 도약

HPSP(403870)에 대해 최근 박스권인 주가 움직임에도 수요처 확대와 독점적 지위, 내년 하반기 동탄 신공장 가동으로 인한 실적 도약을 고려하면 매수 관점을 유지할 필요가 있다. 올 3분기 매출액은 전년 동기 대비(이하 전년 동기 대비) 12% 감소한 419억원을 기록해 컨센서스 예상치를 4% 상회했다. 메모리 장비 매출이 없었음에도 불구하고 비메모리향 매출이 예상보다 더 좋았기 때문이다. 올 3분기 영업이익은 25% 감소한 216억원으로 기대치에 부합했다. 연초 메모리 장비 수주 감소 영향이 이어져 올 4분기에도 매출은 33% 줄어든 294억원으로 감소 예상되고, 성과급 반영에 따라 영업이익은 115억원으로 하락이 예상된다. 최근 메모리향 장비 수주 증가가 실적으로 반영돼 내년 1분기 매출액이 4..

HPSP, 독점적 기술 보유한 전공정 업체

내년 하반기부터 고성장 궤도 재진입 HPSP가 동탄 신공장 생산능력이 반영되는 내년 하반기부터 고성장 궤도에 재진입할 것으로 전망했다. HPSP는 10나노미터 이하 선단 공정에서 필수적인 장비를 제조 판매하고, 세계 상위 시스템반도체 기업을 모두 고객으로 확보했다. 폭발 위험이 높은 수소를 다루는 기술적 노하우와 특허 장벽으로 후발업체 진입이 현실적으로 어렵다. 연간 생산능력 2배 이상 증가 실적이 올해 1분기 정점을 기록한 뒤 하향 추세에 있는 건 메모리 고객사들 주문 감소와 생산능력의 한계 때문이며 내년 하반기부터 동탄 신공장이 본격적으로 가동되면 연간 생산능력이 2배 이상 증가할 전망이다. 낸드(NAND) 신규 고객사를 대상으로 한 매출도 내년 하반기부터 본격적으로 발생할 것으로 예상한다. 파운드리..

HPSP, 불안한 업황에도 놀라운 실적 지속

HPSP(403870)에 대해 “고압 수소 어닐링’ 공정은 시스템 및 메모리 반도체의 공정 선단화에 따른 구조적인 수혜를 받을 것으로 내다봤다. HPSP의 ‘고압 수소 어닐링’ 장비는 반도체 공정 미세화에 따른 구조적 성장의 수혜를 받고 있는 것이 특징이다. 선단 공정에서는 누설전류를 방지하기 위해 ‘High-K’ 소재를 사용하는데, 이로 인해 발생한 인터페이스 결함을 치유함으로써 트랜지스터의 성능을 15%까지 개선시키고 공정 수율을 증가시킬 수 있다. 이후에도 레거시 공정의 테크 마이그레이션이 진행되면서 HPSP의 장비 수요는 지속적으로 증가할 것으로 예상된다. 원천기술은 특허를 통해 보호받고 있으며, 해당 장비를 생산하고 고객사에 납품하기 위해서는 까다로운 안전인증 과정을 거쳐야 한다. 4% 이상의 ..

반응형